新一代 Design Compiler

新思科技Design Compiler® NXT是新思科技Design Compiler系列的新一代RTL综合产品,进一步巩固了新思科技Design Compiler Graphical在RTL综合产品市场的领先地位。新思科技Design Compiler NXT引入的技术创新包括:快速高效的优化引擎、云就绪、全新高精度RC估算方法,和5nm及以下工艺节点所需的多种功能。

运行时间缩短一半
采用新的优化和智能多线程技术,可扩展性得到增强,最多可扩展至 8 个 CPU
提升设计质量
借助先进的优化和并发时钟数据(CCD)技术,总功耗降低 12%,面积缩小 10%
云就绪
Synopsys Cloud Digital Instance 提供经过简化的预配置自动综合流程

新思科技Design Compiler NXT

 

新思科技 Design Compiler NXT 代表了新思科技 Design Compiler 系列的最新演变,继承了一贯的出色性能,支持新一代工艺节点,助力优化PPA和提高吞吐量,值得您的信赖。

创新力量,赋能社区

观看SNUG大会的最新客户演示。须使用 SolvNetPlus账户

视频

新思科技数字工具集的革新升级

.

加快提升设计质量,准备好实现先进节点